viric@0: CC=gcc viric@0: viric@26: # DEBUG for gprof viric@24: CFLAGS=-pedantic -Wall -g -pg #-DDEBUG viric@5: LDFLAGS=-pg -Wall viric@26: # viric@26: # DEBUG viric@26: CFLAGS=-pedantic -Wall -g #-DDEBUG viric@26: LDFLAGS=-Wall viric@0: viric@24: include Makefile.deps